[SVN] r10827 (VHDL)

Brian Padalino bpadalino at gmail.com
Tue Nov 18 22:36:47 UTC 2008


Fixed component_pattern to look for (?i:is|port) as a stopping condition for invalid names.

Added component_pattern and process_pattern to if_pattern and for_pattern.

Changed:
U   trunk/Review/Bundles/VHDL.tmbundle/Syntaxes/VHDL.tmLanguage



More information about the textmate-dev mailing list